数字系统设计与VHDL

出版时间:2010-5  出版社:电子工业出版社  作者:王金明,周顺 编著  页数:376  
Tag标签:无  

前言

本书的主要内容包括FPGA/CPID器件、EDA设计工具(包括Quartus II\Svnplify Pro、DSP Builder、ModelSim等)、VHDI,硬件描述语言,以及一些典型的数字设计实例。书中的实例多数在Altera的:DE2-70实验平台上进行了实际验证,并尽量给出程序综合与仿真的结果,以便于对照。此外,对于EDA软件工具、实验平台、设计案例均做了精心选择,是作者认为目前较有典型性和代表性的方案。本书的定位是作为EDA技术、FPGA开发或数字设计方面的教材。在编写的过程中,遵循的是重视基础、面向应用的原则,力图在有限的篇幅内,将EDA技术与FPGA设计相关的知识简明扼要、深入浅出地进行阐述,并融入作者在教学、科研中的实践经验。撰写此书的另一个初衷是与拙作《数字系统设计与Verilog HDL(第3版)》互为补充,前者以VHDL语言开发为主,后者则以Verilog语言的设计为重点。全书共12章。第1章对EDA技术进行综述;第2章介绍FPGA/CPLD器件的典型结构与配置;第3章介绍Quartus II集成开发工具以及基于宏功能模块的设计;第4章对VHDL程序设计进行了初步介绍;在第5章至第7章中,系统介绍VHDL的程序结构、语法、基本语句、建模方式等内容;第8章是有关有限状态机的内容;第9章讨论设计优化和常用数字电路的设计实现方法;第10章是有关VHDL仿真的内容;第11章介绍DSPBuilder的使用方法;第12章是VHDL.数字通信和接口等较复杂的数字逻辑系统的设计举例。在附录中对Altera的DE2-70和DE2实验平台做了推广介绍。王金明副教授编写了第1章至第9章大部分内容,并对全书做了统稿;周顺编写第10、1l章及第12章部分内容;第3章部分内容由冷自强和王耿编写,第12章部分内容由尹廷辉、苏勇编写,附录和第4章部分内容由周宇欢、黄建军和李伦辉编写。徐志军教授对全书进行审阅并提出了修改意见,在此表示感谢。感谢Altera公司大学计划部Bob Xu先生和上海美连信息技术公司罗晶先生对本书的大力支持。在撰写的过程中力求准确、简约,避免烦琐,以期做到深入浅出。所有举例都经过综合工具或仿真工具的验证,同时也参考了众多国内外同行的书籍和资料,在此深表感谢。EDA技术是一门实践性很强的课程,同时,EDA技术的发展又非常迅速,要真正掌握数字设计技术,成为行家里手,需要设计者在实践中不断摸索与积累,逐步提高自己的实际能力与水平。本书虽经很大努力,但由于作者水平所限,书中疏漏与错误之处在所难免,希望同行和广大读者给予批评指正。

内容概要

本书根据EDA课程教学要求,以提高数字设计能力为目的,系统阐述了数字系统开发的相关知识,主要内容包括EDA技术、FPGA/CPLD器件、VHDL硬件描述语言、数字系统的设计优化及应用等。全书以Quartus Ⅱ、Synplify Pro软件为平台,以VHDL 87和VHDL 93语言标准为依据,以可综合的设计为重点,基于Altera的DE2-70平台,通过大量经过验证的数字设计实例,系统阐述了数字系统设计的方法与技术,由浅入深地介绍了VHDL工程开发的知识与技能。    本书的特点是:着眼于实用,紧密联系教学实际,实例丰富。全书深入浅出,概念清晰,语言流畅。可作为电子、通信、微电子、信息、电路与系统、通信与信息系统以及测控技术与仪器等专业本科生和研究生的教学用书,也可供从事电路设计和系统开发的工程技术人员阅读参考。    本书配有教学课件,可从华信教育资源网(www.hxedu.com.cn)免费下载

书籍目录

第1章  EDA技术概述   1.1  EDA技术及其发展   1.2  Top-down设计与IP核复用   1.2.1  Top-down设计   1.2.2  Bottom-up设计   1.2.3  IP复用技术与SoC   1.3  数字设计的流程   1.3.1  设计输入   1.3.2  综合   1.3.3  布局布线   1.3.4  仿真   1.3.5  编程配置   1.4  常用的EDA软件工具   1.5  EDA技术的发展趋势   习题1 第2章  FPGA/CPLD器件   2.1  PLD器件概述   2.1.1  PLD器件的发展历程   2.1.2  PLD器件的分类   2.2  PLD的基本原理与结构   2.2.1  PLD器件的基本结构   2.2.2  PLD电路的表示方法   2.3  低密度PLD的原理与结构   2.4  CPLD的原理与结构   2.4.1  宏单元结构   2.4.2  典型CPLD的结构   2.5  FPGA的原理与结构   2.5.1  查找表结构   2.5.2  典型FPGA的结构   2.6  FPGA/CPLD的编程元件   2.7  边界扫描测试技术   2.8  FPGA/CPLD的编程与配置   2.8.1  在系统可编程   2.8.2  CPLD器件的编程   2.8.3  FPGA器件的配置   2.9  FPGA/CPLD器件概述   2.10  FPGA/CPLD的发展趋势   习题2 第3章  Quartus Ⅱ集成开发工具  3.1  Quartus Ⅱ原理图设计   3.1.1  半加器原理图设计输入   3.1.2  编译与仿真   3.1.3  1位全加器编译与仿真   3.2  Quartus Ⅱ的优化设置   3.2.1  分析与综合设置   3.2.2  优化布局布线   3.2.3  设计可靠性检查   3.3  Quartus Ⅱ的时序分析   3.3.1  时序设置与分析   3.3.2  时序逼近   3.4  基于宏功能模块的设计   3.4.1  乘法器模块   3.4.2  除法器模块   3.4.3  计数器模块   3.4.4  常数模块   3.4.5  锁相环模块   3.4.6  存储器模块   3.4.7  其他模块   习题3 第4章  VHDL设计初步   4.1  VHDL简介   4.2  VHDL组合电路设计   4.2.1  用VHDL设计基本组合电路  4.2.2  用VHDL设计加法器  4.3  VHDL时序电路设计   4.3.1  用VHDL设计D触发器  4.3.2  用VHDL设计计数器   4.4  Synplify Pro综合器   4.5  Synplify综合器   习题4 第5章  VHDL结构与要素   5.1  实体   5.1.1  类属参数说明   5.1.2  端口说明   ……第6章  VHDL基本语句第7章  VHDL设计进阶第8章  有限状态机设计第9章  VHDL数字设计与优化第10章  VHDL数字电路的仿真第11章  DSP Builder设计初步第12章  VHDL通信与接口设计实例附录A  VHDL关键字 附录B  VHDL程序包 附录C  DE2-70系统介绍 附录D  DE2系统介绍 附录E  有关术语与缩略语 参考文献

章节摘录

插图:(3)嵌入式微处理器软核的出现,更大规模的FP/GcPLD器件的不断推出,使得SoPC(System 0n Programmable Chip,可编程芯片系统)步入实用化阶段,在一片FPGA芯片中实现一个完备的系统成为可能。(4)用FPGA(Held:Programmle Gate Array,现场可编程门阵列)器件实现完全硬件的DSP(数字信号处理)处理成为可能,用纯数字逻辑进行DSP模块的设计,使得高速DSP实现成为现实,并有力地推动了软件无线电技术的实用化。基于FPGA的DSP技术为高速数字信号处理算法提供了实现途径。(5)在设计和仿真两方面支持标准硬件描述语言的EDA软件不断推出,系统级、行为验证级硬件描述语言的出现(如Systemc)使得复杂电子系统的设计和验证更加高效。在一些大型的系统设计中,设计验证工作非常艰巨,这些高效的EDA工具的出现,减轻了开发人员的工作量。除了上述的发展趋势,现代EDA技术和EDA工具还呈现出以下一些共同的特点。1)采用硬件描述语言(HDL)进行设计采用硬件描述语言(Hardware Description LaIlguage,HDL)进行电路与系统的描述是当前EDA设计技术的另一个特征。与传统的原理图设计方法相比,HDL语言更适合于描述规模大、功能复杂的数字系统,它能够使设计者在比较抽象的层次上对所设计系统的结构和逻辑功能进行描述。采用HDL语言进行设计的突出优点是:语言的标准化,便于设计的复用、交流、保存和修改;设计与工艺的无关性,宽范围的描述能力,便于组织大规模、模块化的设计。目前最常用的硬件描述语言是VHDL和Vefilog HDL,它们都已成为IEEE标准。2)逻辑综合与优化  目前的EDA工具最高只能接受行为级(BehaviorLevel)或寄存器传输级(Register TraIlspont Level,RTL)描述的HDL文件进行逻辑综合,并进行逻辑优化。为了能更好地支持自顶向下的设计方法,EDA工具需要在更高的层级进行综合和优化,这样可进一步缩短设计周期,提高设计效率, 3)开放性和标准化  现代EDA工具普遍采用标准化和开放性的框架结构,可以接纳其他厂商的EDA工具一起进行设计工作。这样可实现各种EDA工具间的优化组合,并集成在一个易于管理的统一环境之下,实现资源共享,有效提高了设计者的工作效率,有利于大规模、有组织的设计开发工作。4)更完备的库(Librarv)EDA工具要具有更强大的设计能力和更高的设计效率,必须配有丰富的库,比如元器件图形符号库、元器件模型库、工艺参数库、标准单元库、可复用的电路模块库、II)库等。在电路设计的各个阶段,EDA系统需要不同层次、不同种类的元器件模型库的支持。例如,原理图输入时需要原理图符号库、宏模块库,逻辑仿真时需要逻辑单元的功能模型库,模拟电路仿真时需要模拟器件的模型库,版图生成时需要适应不同层次和不同工艺的底层版图库等。各种模型库的规模和功能是衡量EDA工具优劣的一个重要标志。

编辑推荐

《数字系统设计与VHDL》面向VHDL语言,以可综合的设计为重点,内容包括EDA技术,FPGA/CPLD器件,VHDL数字设计开发技术等,以Quartus II、Synplify Pro为工具.基于Altera的DE2-70平台.大,量经过验证的数字设计实例,紧密联系教学实际,深入浅出,简约实用,可作为相关专业本科生、研究生的教学用书,亦可供电子工程师、设计开发人员阅读参考,提供电子教学课件。

图书封面

图书标签Tags

评论、评分、阅读与下载


    数字系统设计与VHDL PDF格式下载


用户评论 (总计9条)

 
 

  •   很好的书,正在做Altera的FPGA的开发,非常实用
  •   考试用书 正在使用中……
  •   本书讲解细致,时候普通学习
  •   不错的教材,同学用来顺手
  •   才收到 没看
  •   这本对初学者我个人觉得很好 ,讲的特别细致
  •   感觉饿还不错,还没有细看
  •   与实物相符,谢谢。
  •   比较喜欢这本书写作风格
 

250万本中文图书简介、评论、评分,PDF格式免费下载。 第一图书网 手机版

京ICP备13047387号-7