VHDL编程实例

出版时间:2009-6  出版社:电子工业出版社  作者:Douglas L.Perry  页数:352  
Tag标签:无  

内容概要

   本书从实用的角度出发,用讲解实例的方法,由浅入深地向读者依次介绍了VHDL的基本概念、建模的过程、预定义属性和配置等基本内容,并详细地介绍了设计描述、逻辑综合、RTL仿真、布局布线、VITAL仿真,以及系统硬件调试这样一个完整的VHDL设计过程。这样做目的是希望读者在完成本书的各个例题后,基本能够掌握基于VHDL的数字系统设计方法,使其数字系统的设计能力上升到一个新的水平。  本书适合作为高等院校电子及计算机类专业,或相关专业高年级学生或研究生的教材,也可作为工程技术人员的参考用书。

书籍目录

第1章  VHDL介绍 1.1  VHDL术语 1.2  在VHDL中描述硬件 1.3  Entity实体  1.3.1  结构体  1.3.2  并行信号赋值  1.3.3  事件安排  1.3.4  语句并行性  1.3.5  结构设计  1.3.6  顺序行为  1.3.7  进程语句  1.3.8  进程声明区域  1.3.9  进程语句部分  1.3.10  进程的执行  1.3.11  顺序语句  1.3.12  结构体选择  1.3.13  配置语句  1.3.14  配置的作用  本章小结第2章  行为建模 2.1  行为建模入门 2.2  传输延迟与惯性延迟  2.2.1  惯性延迟  2.2.2  传输延迟  2.2.3  惯性延迟模型  2.2.4  传输延迟模型 2.3  仿真delta 2.4  驱动器  2.4.1  驱动器的创建  2.4.2  坏的多驱动模型 2.5  类属 2.6  块语句  2.6.1  块的构成  2.6.2  块的保护 本章小结第3章  顺序进程 3.1  进程语句  3.1.1  敏感列表  3.1.2  进程举例 3.2  信号赋值与变量赋值  3.2.1  不正确的mux例子  3.2.2  正确的mux例子 3.3  顺序语句 3.4  IF语句 3.5  CASE语句 3.6  LOOP循环  3.6.1  LOOP语句  3.6.2  NEXT语句 3.7  EXIT语句 3.8  ASSERT语句 3.9  WAIT语句  3.9.1  WAIT ON信号  3.9.2  WAIT UNTIL布尔表达式  3.9.3  WAIT FOR时间表达式  3.9.4  多重WAIT条件  3.9.5  WAIT超时  3.9.6  敏感列表和WAIT语句 3.10  并行赋值问题 3.11  被动进程 本章小结第4章  数据类型 4.1  对象类型  4.1.1  信号  4.1.2  变量  4.1.3  常数 4.2  数据类型  4.2.1  标量类型  4.2.2  复合类型  4.2.3  不完整类型  4.2.4  文件类型 4.3  文件类型的注意事项 4.4  子类型 本章小结第5章  子程序和程序包第6章  预定义属性第7章  配置第8章  VHDL高级特性第9章  综合第10章  VHDL综合设计第11章  高级设计流程第12章  顶层系统设计第13章  CPU:综合描述第14章  CPU:RTL仿真第15章  CPU设计:综合结果 第16章  布局布线第17章  CPU:VITAL仿真第18章  快速调试技术附录A 附录B 附录C 附录D

章节摘录

  第1章 VHDL介绍  VHSIC硬件描述语言(VHDL)是一门工业标准语言,用来描述从抽象层次直到具体层次的硬件。VHDL起源于在20世纪70年代和80年代初美国国防部的工作,它是由ADA语言发展起来的,至今都可以在VHDL的全部结构以及其他的VHDL的语句中看到它的影子。  VHDL的用户自它诞生以来迅速增加,目前在全球已有成千上万的工程师用它来创造复杂的电子产品。本章将以由浅入深的方式把读者带进复杂的vHDL世界。VHDL是一门强有力的语言,具有若干个语言构造,用这些构造可以描述非常复杂的行为。了解VHDL的所有特性不是一项简单的任务,本章首先以一个简单的方式介绍它的复杂特性,然后再描述它的更加复杂的用法。  1986年,VHDL被提议作为IEEE的一个标准。在经历了若干次的更改和修订之后,终于在1987年12月被接纳作为IEEE 1076标准。IEEE 1076.1987标准vHDL是本书所使用的VHDL。(附录D中简短地描述了VHDL 1076—1993)。所有的例子都是用IEEE1076 VHDL描述的,用Model Technology公司的VHDL仿真环境进行了编译和仿真。综合的例子是用Exemplaur Logic公司的综合工具完成的。

图书封面

图书标签Tags

评论、评分、阅读与下载


    VHDL编程实例 PDF格式下载


用户评论 (总计3条)

 
 

  •   很不错的书,参加了满128减20的活动
  •   这本书内容详尽,入门到精通VHDL语言不错的选择。
  •   写得还不错,但是有些不够详细,比如寄存器,一下就写到了移位寄存器,基础寄存器却没写,对于自学者不是太好用
 

250万本中文图书简介、评论、评分,PDF格式免费下载。 第一图书网 手机版

京ICP备13047387号-7